智慧應用 影音
hotspot
ADI
林育中
  • DIGITIMES顧問
現為DIGITIMES顧問,1988年獲物理學博士學位,任教於中央大學,後轉往科技產業發展。曾任茂德科技董事及副總、普天茂德科技總經理、康帝科技總經理等職位。曾於 Taiwan Semicon 任諮詢委員,主持黃光論壇。2001~2002 獲選為台灣半導體產業協會監事、監事長。
全面散熱(二)
量子電腦有機會成為終極的散熱問題解決方案。Richard Feynman最原始的概念是以量子的方法解決量子問題,首先講究的是效能。現在耗電最兇的人工智慧(AI)伺服器相關應用,在量子電腦上也都有其相應的量子AI演算法,速度相對於現有的傳統AI運算都是平方加速(quadratic speedup)、甚至是指數加速(exponential speedup)。量子電腦計算速度快自然耗能小,逸出的廢熱就更少。這是量子計算於散熱問題上的第一重好處。  Feynman第二篇談論量子計算的文獻主題,是量子計算是可逆的(reversible),這是與散熱直接相關的議題。  傳統的二進位邏輯閘運算,譬如AND gate,輸入有2個位元,但是輸出只有1個位元,也就是說傳統的二進位計算過程可能會喪失訊息,而喪失訊息意味著熵值增加,這就是廢熱的來源。  量子計算的操作基本上是以微波來控制、轉變量子位元的狀態(state),計算起始的量子位元數目與計算完成的量子位元數目是一樣的,因此沒有訊息的喪失。量子計算的可逆性基本上是說如果從計算完成的量子位元反著步驟計算,可以回復出起始的量子位元狀態。這種可逆性只存在於熵值不增加的計算過程中。也就是說,先姑且不論量子計算的週邊線路和冷卻需求所可能產生的廢熱,量子計算的核心部分理論上是不會生廢熱的。這是量子計算於散熱問題上的第二重好處。  量子計算另一個優點較少被提到:量子計算也是記憶體計算。所有的量子計算都在停留在一組量子位元上反復操作,毋需將訊息挪動到緩存記憶體(buffer memory)上—其實目前也沒有量子記憶體可用。量子位元本身既是處理器,也是記憶體本身,這就是記憶體計算,自然不會產生搬運訊息產生的焦耳熱,絕大部分的量子位元屬於此一類型。  唯一的例外是光子量子位元。光子在運算時的確會在矽光子的模組上處理,訊息的確會在光源和感測器中被傳輸。但是如上文矽光子一段所述,光子的傳輸理論上也不會生焦耳熱的。因此目前困擾半導體業的焦耳熱問題,在量子計算的過程中只存在於其周邊線路,並不構成主要問題。 這是量子計算於散熱問題上的第三重好處。  半導體發展迄今,摩爾定律的推進以及先進封裝的應用,持續增益晶片系統的效能。但由於單位時間內所處理的資料量益發龐大,而晶片的集積度亦同時大幅提高,散熱效率提升的需求更加迫切,從晶片、模組、系統各層次的散熱方式必須同時於設計時就開始考慮。可以考慮的空間包括線路設計、材料使用、封裝方式、外加的散熱機制(水冷式封裝就是這樣進場的!)等,乃至變更基礎的計算架構與原理。  廢熱處理已成計算設備各層級工程的共同瓶頸,我們需要散熱總動員!
2023/12/11
全面散熱(一)
量子電腦、記憶體計算(in-memory computing)、矽光子、銅混合鍵合(copper hybrid bonding)、氮化鋁基板/晶圓、氮化矽基板/晶圓等,這些新技術有什麼共通點?  在進入詳細討論之前,我們先退一步看半導體過去的發展考慮。成本、效能、功耗等3個面向一直是半導體過去技術發展的主軸。成本以前靠製程微縮和良率提升,效能提升也靠微縮。功耗問題面向較為多樣化,節省能耗基本上靠降電壓、使用低電阻材料和設計優化等,處理廢熱的手段就更複雜了。  上述3個面向的進展需要有權衡的考慮—工程一向是綜合效能的權衡問題。資深的電腦使用者應該記得過去有一段時間的個人電腦中裝有風扇,也就是說當時要求CPU效能的大幅邁進,迫使散熱手段必須升級,外延到在系統層級另外加風扇氣冷的手段。之後CPU的線路設計業界有個默契,控制CPU發熱在單靠IC自然氣冷散熱就足以應付的程度,惱人的風扇聲就暫時從辦公桌上消失。  晶片中的能耗機制主要有2種:一種是電晶體開關的能耗。目前一個狀態切換(switch)的能耗大概是在飛焦耳(femto joule)的數量級;另外一種是焦耳熱(joule heat),就是電子流經金屬連線因為電阻所產生的廢熱。由於金屬連線的寬度在製程長年的微縮下變得愈來愈細,電阻不容易再下降,晶片的效能又愈來愈高、傳送的訊息愈來愈多。焦耳熱在目前的von Neumann計算架構下是熱耗散的主要源頭。  廢熱如果無法及時排出,可能會使晶片、系統失效甚或損毀。解決的源頭自然是從降低能量使用開始,然後才是排放廢熱的處理。  散熱的手段有3種:輻射、傳導和對流。輻射的功率正比於溫度的四次方,對於晶片這樣的低溫,輻射的散熱效率是遠遠不夠的,所以半導體或電子系統的散熱方法通常是傳導和對流的結合。  氮化鋁和氮化矽都是半導體業界所熟悉的材料,現在也用做散熱材料。氮化鋁的導熱係數高;氮化矽的導熱係數雖然稍低,但是其他機械特性如強度和斷裂韌性都很高,綜合性能最佳。這二者目前都已製成陶瓷基板/晶圓,用於功率模組的隔熱板、或做為外延(epitaxy)功率元件的晶圓基底。這是以高導熱率材料來散熱的辦法。如果需要的話,在基板/晶圓底下還可以用活性金屬釬焊法(Active Metal Brazing;AMB)加上一層銅,提高散熱效率。  更積極些的辦法是減少電源及訊號傳導所發出的焦耳熱。 矽光子是以光訊號來替代電訊號。理論上光訊號的傳導是不耗能的,自然也不會有廢熱,可以極大程度的避免焦耳熱的產生。這是為什麼矽光子預計在2025年會進入量產的主要動力之一—人工智慧誘發的大量計算,使得現有的電訊號傳送方式快要讓功耗和散熱難以負荷。 3D封裝中的銅混合鍵合讓數個異構(heterogeneous)晶片間原有的金屬連線,變成堆疊晶片上重分布層(Redistribution Layer;RDL)的直接對口銅金屬鍵合,大幅縮短晶片之間原先金屬連線的長度,所以焦耳熱是降低了。但是3D封裝也大幅提升晶片的集積度,使得原本已然艱難的散熱問題更加惡化。譬如原先用2.5D封裝的高頻寬記憶體(High Bandwidth Memory;HBM)與CPU/GPU,在改為3D封裝之後,居於最底層的邏輯晶片由於上層的記憶體晶片層數增加,勢必要處理更多的資料運算,因此散熱的負擔更加沉重,這就是進行式的挑戰。  記憶體計算的想法更為激進。如果電腦依照von Neumann架構運作,資料必須在CPU與記憶體間反覆傳遞,這是焦耳熱產生的最主要原因,那就乾脆把二者合併為一,就沒有兩個晶片間相互傳送的問題。這不算是原始創意,因為人腦就是這麼運作的。只是這方向的研究還在摸索中。
2023/12/4
奈米壓印的初始應用 (二):近期市場
Canon的FPA-1200NZ2C奈米壓印機這個型號,其實最晚在2015就已出現在相關的學術期刊上了。已經出現8年的舊機型能夠重新上新聞並且吸引注意,最主要的原因在於它將要進入比較大範圍的半導體量產應用。  延伸報導名人講堂:奈米壓印的初始應用 (一):技術與挑戰奈米壓印有2個特性可以有效地拓展它的應用範圍。第一,是它不僅適用於2D圖形的列印,而且有些3D圖形也可以用單一模板來轉移線路圖形,有效的簡化製程。另外一個特性,是奈米壓印可以用於任何基板,不只是適用於矽晶圓上。  這2個特性讓奈米壓印已經開始被應用於一些次領域,譬如生物感測器等。只是這些領域的產值相對較小,未能獲得充分關注。  這次新聞受到較多關注的原因,是奈米壓印要進入主流半導體製程行列,而且時程明確。  鎧俠(Kioxia;原東芝記憶體)與SK海力士(SK Hynix)將於2025年開始,以奈米壓印技術生產3D NAND Flash。NAND在很長一段時間內是市佔率僅次於DRAM的半導體產品類別,奈米壓印進入大宗產品的製程行列,意義非凡。  東芝(Toshiba)於2004年就開始以奈米壓印試產NAND,目前與Canon和大日本(Dai Nippon)等公司為共同推動建立奈米壓印技術生態的主力成員。SK海力士與鎧俠素有各式的市場、技術合作,同時宣布採用奈米壓印技術也在情理之中。  NAND可以率先採用奈米壓印有其技術上的理由:NAND是記憶體陣列。一般記憶體陣列線路圖形高度重複,基礎單元結構相對簡單。最重要的是其容量設計可以留有冗餘(redundancy),如果製造過程中有局部線路圖形產生缺陷,可以用硬體方法融斷(fuse)受損部分,以原先預留的冗餘部分替代,晶圓整體良率可以維持在較高水準。  如果奈米壓印要應用到DRAM,缺陷密度的要求也一樣可以較為寬容。但是DRAM底部有很稠密的電晶體觸點(contact),因此上下層間的對準就變得格外重要,以前奈米微影機的技術規格尚達不到量產的要求,需要再改善覆蓋後才談得到DRAM的應用。至於邏輯晶片,由於線路中大多不是重複的圖形,比較少有冗餘設計的可能,對於粒子或缺陷極為敏感。目前的奈米微影機仍需降低粒子和缺陷才有辦法跨入邏輯晶片的製造應用。  另一個比較有期待的領域是矽光子。奈米壓印在轉印線路圖形時的線邊緣粗糙度(line-edge roughness)的表現優於曝光機的表現,因為沒有光的干涉、光阻蝕刻等問題,這使得光子在通過這些以奈米壓印製造的光元件時,表現更符合原設計的預期效能,而且一般光學元件製造層數較少,層間覆蓋的問題沒有那麼尖銳。另外,光學元件很多是3D圖形的,這正是奈米壓印的強項之一。  矽光子還有另外一個機緣。原先在異質整合路線圖(Heterogeneous Integration Roadmap;HIR)中計劃於2020年矽光子就會出現在異質整合晶片市場中,但是實際上被延遲了。由於人工智慧(AI)應用的興起,大量資料移動的需求要以光的形式來實現,台積電就宣布在2025年開始矽光子的量產。  半導體產業的邏輯,總是會將機器設備的價值利用到最後一刻,善用原始的巨大投資,所以對新設備的引進就有潛在的利用障礙。但是對於新建的產線或廠,只要事前有足夠的生產驗證,大規模的採用新設備比較有機會。奈米壓印恰好於此時較明顯的出現在產業的視野之內,不能不說是風生水起的機緣了! 
2023/11/23
奈米壓印的初始應用 (一):技術與挑戰
最近Canon發布可以達5奈米製程節點的奈米壓印機FPA-1200NZ2C 。奈米壓印是半導體製造中將線路設計圖案轉印到晶圓的方法之一,另一個為人熟知、也是目前產業界中用以量產的主流方法是曝光機。  奈米壓印的方法其實很簡單,就像用木模板轉印圖案到紅龜粿上一樣。紅龜粿模板是陰刻,1:1的將龜的圖案壓在煮熟的糯米粉團上,壓印後的圖案是陽刻的。這其中沒有像曝光程式中牽涉到光源、光學系統、感光、顯影、蝕刻等複雜的過程以及精密昂貴的設備,所以晶圓處理程序價格相對較低似乎是理所當然。  關鍵的技術是壓印模板的製造,以及前文中以糯米粉團所比擬的高分子樹脂(polymer resist)及整個壓印過程。壓印模板與欲轉印的圖型是1:1,所以在製造模板時要有至少與在晶圓上欲轉印的圖案一樣精細的解析度,這用來塑造模板圖樣的工具自然是電子束(electron beam)。電子束是半導體業用來在光罩上形塑線路圖樣的主要工具。  電子的德布羅意(de Broglie)波長是0.08奈米,也就是說電子束理論上的解析度就是在這數量級。對於任何目的的刻畫,這都遠超過所需要的精度—這比原子都小!  問題是被電子束用來呈像的物質會與電子發生作用,因此電子束刻畫的解析度極大程度的依賴於使用的物質。目前電子束的解析度大約在5~10奈米左右,這對於5奈米製程實際的臨界尺寸(critical dimension)14奈米便夠了。奈米壓印還預告未來可以推進到2奈米製程節點,它實際的臨界尺寸是10奈米,也還在目前電子束解析度可觸及的範圍之內。  以電子束刻畫的模版是母板(master plate),接下來就是大量複製。說「大量」一點也不誇張,因為目前奈米壓印機每小時產量(throughput)就只有100片上下—這大概只比EUV剛推出時的產量稍高,而模板可以使用的次數在幾千次的數量級,大概是幾天就得更換。  在奈米壓印之前,基板需先滴有高分子樹脂(polymer resist),與基板上粘合層(adhesion layer)充分ˇ浸潤(wetting)。之後就是將模板壓在布滿高分子樹脂的晶圓,藉壓力及毛細現象讓樹脂延伸入模板圖形之中。然後用紫外光固化(UV curing)樹脂,取下模板。  奈米壓印過去技術發展的挑戰和上述的壓印程序和使用的物質有直接的關係。過去的幾大挑戰分別為覆蓋(overlay)、產量、缺陷率(defectivity)和粒子。  覆蓋是指元件上下不同層間結構的對齊問題,在奈米壓印製程中會產生覆蓋問題的原因之一是壓印過程中樹脂被壓印而扭曲或變形,以致於上下層之間的相應結構無法對齊。此為奈米壓印過去在技術上常被詬病的地方。 延伸報導Canon新NIL系統成本優勢 有利晶片製造大眾化發展又譬如奈米壓印的產量其實取決於樹脂滴(resist drop)的大小、擴散速度以及跟基板粘合層的浸潤速度,此基本上是材料特性的問題。  這些問題在過去發展的30餘年間主要由物質的改善以及一些輔助的機制,譬如上下層對準校正等,這些問題獲得相當程度的改善,奈米壓印因而逐漸步入量產製程的行列。 (作者為DIGITIMES顧問)
2023/11/16
半導體產業獎勵促進條例:適用階段與效果(三)
研究補助金與合作研發中心都是針對半導體技術研究與發展的現金補助,與以稅賦減免的方式來獎勵企業的技術研發不同。政府可以扮演更積極的角色,執行方式也各有變形。 研究補助金最著名的成功案例之一是曝光機光源的研究。此計畫經費的來源是國防高等研究計劃署(Defense Advanced Research Projects Agency;DARPA),90年代半導體產業在尋求下世代曝光機光源的過程中最終選擇EUV,研發後技術移轉,最後在ASML手中經歷20年發展終於完成量產,在目前及未來的繼續微縮之路獨挑大樑。 但是這種大型的基礎科技研發計畫對於處於發展初期的產業和企業並無太大幫助,也沒有辦法執行。能夠按部就班的豐富產業生態、增加企業存活率者,多是短期產品開發或技術的應用發展類型的計畫。  合作研發中心的例子如台灣的工研院,或台灣半導體研究中心。  除了提供技術服務、研究合作、儀器分享、產學合作等預期中的功能外,這類機構還可以有其他至少兩樣重要的功能:蘊育新創,和企業聯手攻關。  在資金環境相對友善的情況下,所研發的接近量產階段技術,及其相關的研發人員,可以分立(spin off)出新創,使得半導體產業的生態環境變得更豐饒。這原是工研院設立當時的初衷之一。在台韓長期競爭的歷程中,這些由研發機構分立出來的新創成為台韓產業發展成截然不同風貌的主要原因之一。這也是在新興國家產業發展之初就可以採取的措施。 另一個措施在產業發展到一定階段才能發揮作用。當半導體企業能夠成功存活下來,下一個重要的關卡在於如何從營業盈餘中產生足夠的經費支持獨立的研發。政府的所有研發經費補助其實都是在協助企業解決研發規模經濟不足的問題。  從接受政府補助到能夠支持自主獨立研發的過渡期間,企業聯合研發可能是較好的方案之一,譬如當初的IST(IBM-Siemens-Toshiba)聯盟共同研發DRAM技術。合作研發中心正好可以當成此種研發聯盟的平台。 人力資源短缺的問題發生於有半導體產業的幾乎每個國家的每個階段,原因各有不同。處於產業發展初期的國家大概都是因為缺少產業歷史因而沒有足夠有經驗的從業人員;而處於產業發展後期的國家有可能是人口基礎已經開始下降,如東亞諸國,或者是產業在其國內薪資的相對競爭力不足。人力資源問題政府必須介入,因為牽涉到公權力相關事宜,如移民政策、教育、勞工等,是以勞動力發展和培訓必須要成為半導體發展政策的一部分。 值得注意的是對於高級人力資源的養成方法。現在的教育體制有半導體專業化的趨勢,譬如半導體學院或微電子研究所。回顧以前半導體的發展歷程之中,雖然工程人員以電機背景居多,但是其他理工背景如材料、化工、機械、資工、物理、化學等的也不在少數。現在的半導體的加值軸線,也已經從單一的製程微縮走向多面向,譬如新材料開發的碳化矽、氮化鎵,以及先進封裝等。這些新方向的開發需要有各類基礎科學的支持。接受傳統半導體技術教育的無疑比較專精,因而能立即投入生產。但是對於未來半導體的發展、創新是否有利則是大有疑問。政府於勞動力發展和培訓的制訂必須依發展階段慎重考慮。  最後要提醒,各類的獎勵補貼政策訂定時也要考慮國際市場的規矩。WTO訂有「補貼與反補貼措施協定」(Agreement on Subsidies and Countervailing Measures;ASCM),禁止特定的補貼行為。譬如第三條(Article 3)中禁止出口補助或優先採購本國產產品,雖然此協議對發展中國家有特別的彈性與考慮。另外,各國亦有反傾銷法律用以對付受政府過度補助的不公平貿易兢爭。  雖然WTO現今對於全球貿易秩序的規範能力已不如當初設立之時,主權國家的行為也不受法律的管轄,但是上述規範的懲處最終會落在接受補貼的個別企業或產業上,訂定產業獎勵促進條例時要先將這些後果考慮清楚。
2023/11/13
半導體產業獎勵促進條例:適用階段與效果 (二)
稅賦減免、加速折舊、研發補助金、財政補貼等,都是以財稅的形式補貼半導體產業的投資、設備購買、研究發展所需。 稅賦減免一般是從企業營業所得稅中減免一定百分比的已投資、設備購買或研發經費,這是一般政府比較喜愛採用的獎勵形式。原因是政府給的補助經費其實是企業發展成功後自行創造出來的,是個無中生有的辦法。但是這個辦法比較適用的產業發展階段,是產業稍為有立足之地、能加入國際競爭行列的階段之後。對於甫開展半導體產業國家中的初始企業,既無法減輕投資的負擔,也無法增加短期內其生存的機率。畢竟營所稅的減免只適用於企業已有盈餘產生的狀況,而一般半導體製造廠規劃的盈餘年度至少在公司開始設立廠房的第五年後,能準時達標的已算是其中佼佼者。 因為對起始的企業幫助不大,企業可能以變通的方法來利用。舉個有趣的實例。有一個國家對於半導體設備投資有營所稅減免的獎勵,但是如前所述,這是一筆看的到、吃不到的獎勵。於是,半導體企業與金融機構聯手「協作」,由金融機構購買半導體設備後租賃給半導體企業使用。由於金融機構是賺錢的企業,一剛開始就可以使用投資半導體設備的營所稅減免。從政府所取得的部分稅賦減免金額則以降低設備租賃金額的方式,回饋給半導體企業。政府補助半導體產業的意圖由於獎勵方法的不恰當而被迫部分流向他處;而半導體企業生產設備以租賃的方式租用通常是最不得已的最後手段,好似典當變現。這個國家最終落得12吋廠完全消聲匿跡。訂定稅賦減免條例必須能夠精準規範其最終受惠對象。加速折舊容許企業在較短的時間內將設備折舊完畢。譬如台灣的半導體製造設備會計上折舊年限一般是5年,加速折舊就譬如說在3年內折舊完畢,只留殘值。這樣一來,由於在前三年內折舊金額較高、帳面上的生產成本較高,盈利因而較少,需要繳交的營所稅較少。其所提供的實質好處就是讓企業能從「未來」調動現金流到現在,能夠提前投入資金於擴充產能或研發。這個辦法比較適用於長期有盈餘、量產主要依賴於少數領先製程的公司,譬如過去DRAM扮演先進製程推手(technology driver)次產業時的三星電子(Samsung Electronics)。對於存活是首要任務的新進公司,這可不是實惠,也用不著、不敢用。加速折舊必然的會擴大初期的營運虧損,其所顯示的營運結果會令潛在投資者為之卻步。 財政補貼是真金白銀,要編列在政府預算之中。對政府是結結實實的現金投入;接受者也是實在的優惠。半導體產業發展初期產業環境欠佳、進入障礙高,現金補助可以有效降低投資風險,降低進入障礙,這是對產業發展初期最有效的補助手段。然而,由於這是政府真實的投入,所以一般財政補貼會綑綁許多附加條件。除了對於投資者的資格審查外,一般也附有一些條件,譬如對就業機會的保障—特別是歐洲國家。 用現金的補助雖然要比較嚴格的規範補助標的,但是以達到特定技術門檻才給予補助可能不是一個好手段。半導體發展是一個漫長的過程,在產業發展初期能夠讓企業存活、進入經營良性循環、豐富產業生態才是比較務實的發展策略。
2023/11/6
半導體產業獎勵促進條例:適用階段與效果 (一)
全球供應鏈重組的過程中,提供許多供應鏈國家的內部半導體的市場。做為系統製造最關鍵的零組件半導體元件,系統製造廠所在地的國家無不尋求半導體元件製造的進口替代機會。  在半導體產業根基薄弱的狀況下,政府以產業發展獎勵促進條例,提高初始投資成功的機率也是勢所必然。更何況,從產業發達國家自美、日、韓、德等乃至於產業發展中國家,無不使用各式獎勵辦法。如果產業發展中國家沒有獎勵促進條例來彌補產業生態環境的先天不足,於半導體產業的發展恐怕距離會愈來愈遠。  一般半導體產業獎勵促進條例,包括:稅賦減免(tax deduction)、加速折舊(accelerated depreciation)、研發補助金(research and development grants)、財政補貼(financial subsidies)、出口促進計畫(export promotion program)、勞動力發展和培訓(work force development and training)、智慧財產權保護(intellectual property protection)、監管支持(regulatory support)、合作研究中心(collaborative research centers)、貿易協議(trade agreements)、投資促進機構(investment promotion agencies)、環保激勵措施(environmental incentives)、群聚發展(cluster development)、政府優先採購(government purchase preferences)等諸多措施。除了貿易協議與出口促進計畫與因半導體在各國關稅均瀕於零而較少見諸實施外,其他的措施在台灣半導體產業發展過程中的各階段幾乎都發揮過其作用。 對處於半導體產業發展初期的國家,監管支持和群聚發展最好由政府直接介入、投資。監管支援是指單一的行政窗口以流水線的方式涵蓋所有的行政處理作業。這個措施牽涉到法規的修訂和機構的設立,都需要公權力的直接介入;群聚效應則需要足以供產業群聚的大片土地以及相關的基礎建設—沒有公權力的支持,即使有資金投入也是寸步難行。 台灣過去發展的歷史是以科學園區與科學園區管理局(以下簡稱科管局)一併解決產業群聚以及監管支持的問題,其中自然有法源依據。但是徒法不足以自行,能讓其動起來的自然是政府先期的投資。有些國家想單靠獎勵條例來促成群聚效應,這恐怕是條艱難的旅程。 科管局是園區所有涉政府業務接洽的單一窗口,而且直屬中央政府。在行政效率、政策的統以及行政清廉的監管上有較佳的表現。如果沒有這單一的窗口,投資的不確定性便會大幅增加。 講一個實例。原先科管局的確統一管理所有園區中,業者須與政府接洽辦理的所有相關業務,但是後來環保法規立法之後,沒有將園區的環保業務也撥歸科管局一併管理。有一年新竹市政府向園區廠商募款以改造城區,不久之後突然向園區廠商發動環保檢查,裁罰30幾家廠商,而這些處罰有很多是有高度爭議性的。罰款的金額對於園區的廠商是可以容受的,但是高科技廠商需要持續的資金投入,向資本市場募資是經營常態。募資時的必要檔案公開說明書(prospectus)中如果附記環保事件,對於募資活動將造成極大的困難。特別是在歐、美資金市場,環保事件代表極大的經營風險—可能面臨政府處罰以及民事甚至是刑事訴訟。後來解決方式自然是立法修改,將環保的監管權也併入科管局,維持單一窗口的監管體制,避免多重監管單位所造成的複雜經營風險。 
2023/10/30
量子點以及其應用
2023年諾貝爾化學獎,頒授予Moungi G. Bawendi、Louis E. Brus以及Alexei I. Ekimov,表彰他們在1980年代發現和合成量子點(for the discovery and synthesis of quantum dots)。  大概念來說,量子點是人工製造的「原子」(artificial atom)。  20世紀迄今,人類文明發展大幅度的依賴於電磁學,包括它所涵蓋的電荷、磁、自旋、電磁波等諸種現象。對於用於承載、操控這些現象的物質,我們對其瞭解的基礎知識是量子力學以及電磁學。人類對於這些性質的應用,大都是順從自然的安排。譬如矽的帶隙能量(energy gap)為1.12 eV,積體電路的柵極電壓就設為比這數值稍高,用以開關電晶體。又譬如DUV雷射光源的氟化氬(ArF;Argon Fluoride)分子的能階間隙是6.42 eV,所以ArF DUV曝光機對應的波長就是193奈米。換句話說,人類雖然開始掌握關於物質的部分知識,但是對於這些知識的應用,人類過去大致上是聽從自然的安排,至少在那些物質的特性參數是如此的。 如果我們想「設計」物質的基礎特性呢?譬如它的光、電荷、自旋等性質時呢?我們用以建構的基礎單元—也就是類似樂高的積木塊—仍舊是自然的原子及其形成的分子,只不過這次要使用基礎單元數目要多得多,1個量子點可能要使用100~10,000個原分子來建構,這樣製造出來的量子點大小直徑在數奈米至100奈米之間。我們的付出的代價是較複雜的製作程序,以及較為龐大的單元尺寸;收益是可控、可設計的量子點的光、電、自旋等特性。這些特性可以藉由量子點的大小、組成材料、形狀等來調整其內部能階,而能階正是物質的量子特性之一,是以名之。 可以設計出人工原子,自然也可以設計出人工分子、乃至於超晶格(superlattice)等更大尺度的結構。 量子點的製作材料過去以II-IV族、III-V族為主,譬如硫化鉛(PbS)、硒化鉛(SePb)、硫化鎘(CdS)、硒化鎘(CdSe)、碲化鎘(CdTe)、砷化銦(InAs)、磷化銦(InP)等。  但是II-IV族量子點多含重金屬,譬如鎘與鉛,對環境相當不友善,所以顯示器中的量子點目前正轉向III-V族的量子點,譬如磷化銦(InP)、硫化銅銦(CuInS)等。而III-V族量子點如當成生物中的體內(in vivo)當感測器或成像使用,可能有毒性或致癌,因此目前正尋找其他材質如矽、碳等,或者加以表面修飾(surface modification)以製作安全的量子點。  量子點的應用非常廣泛,包括顯示器、單電子電晶體(SET;Single Electron Transistor)、太陽能電池(solar cell)、LED、雷射、單光子光源(single-photon sources)、二次諧波生成(second-harmonics generation)、量子點量子位元(quantum dot qubits)、生醫研究裡的感測器及成像(imaging)等。  量子點顯示器已經商業量產,製造方法與LCD差不多,只不過LCD中用來當背光(backlit)白光LED改為藍光的量子點。製作流程先是在基板上以有機金屬化學氣相沈積法(Metal Organic Chemical Vapor Deposition;MOCVD)製作藍光量子點,於這層之上製造並排的綠光量子點及紅光量子點當彩色濾光片,另外留一處空缺透藍光,形成RGB三原色畫素。  量子點顯示器有深黑色(deep blacks)、最佳視角(optimal viewing angle)、原始色彩(pristine colors),較省電、高色彩飽和度(saturation)、較寬色域(wider color gamut),壽命亦較長。目前市場上的競爭對手是OLED,但是未來解析度再走向8k以後,暫時沒有能涵蓋如此廣泛色域的對手。  量子點的2個前瞻性應用,分別是生物醫療研究與量子計算。  量子點於生物中可以用於成像、標記(label)、運送(delivery)、感測等功能。量子點具有明亮且穩定的螢光,而且可以調整其顏色,還可以附加功能基以鎖定特殊標靶。由於其尺寸僅數奈米,不僅微米級的動物細胞可以輕易解析,連尺度與其相當的蛋白質也可以用量子點來標記研究。  一個有趣的應用領域是用來研究腦細胞及功能,這個研究領域又終將回饋到人工智慧(AI)、類神經晶片(neuromorphic chips)、腦機介面等競爭激烈的尖端科技新領域。  只是如前所述,量子點於體內毒性的問題需要先澄清並克服。  量子點量子位元是被寄予厚望的量子計算技術,因為它不只是半導體相容的技術—它本身就是半導體技術。如果原型開發成功,它可以立即利用目前成熟的半導體生產體系快速投入量產。  目前的量子點量子位元是自旋量子元(spin qubit),即量子點中約束1個電子,而且這個電子的自旋的狀態可以被操控、測量,當成量子位元使用。  量子點量子位元的技術發展面臨的主要挑戰,是量子點量子位元之間不易形成量子糾纏,目前可以相互糾纏的量子點量子位元數一隻手數得完。不容易被環境干擾的量子位元,也意味著不容易與周遭的量子位元形成量子糾纏。這是典型的工程問題—權衡兩難以最佳化。 量子點此次獲得諾貝爾化學獎實至而名歸。它發現人工原子,使得人類擁有更進一步操控微觀世界的能力,它對文明及經濟的貢獻已經開展在照明及顯示器上,而它又可以成為促成其他領域新發現的工具,這些都是典型得獎作品的印記。  
2023/10/23
同步輻射能當曝光機光源嗎? (二)
同步輻射所產生的光,是由電場加速帶電粒子因而產生輻射產生的光,不像雷射是利用原子天然能階之間的躍遷(transition)產生的相干光(coherent light),光源波長是可以控制、設計的。同步輻射產生光的波長,從遠紅外(far infrared)至hard X-ray,大約是10幾微米到0.01奈米,這波段已足以處理矽基半導體製程的所有波長需求。高於DUV波長的光就不必討論了—已有既存成熟的設備,不必再重新發明輪子。可以討論的是現在EUV波長波段,以及將矽基半導體推向物理極限的幾奈米波長的光。用同步輻射做為光源有個明顯好處,即為光的亮度充分。只要加速器中心能穩定控制的電流夠大—就是電子夠多,輻射光的亮度便充分—這個可以立刻解決現在EUV產量不夠好的窘境。另一個好處是光源成本的下降。一個同步輻射圓形加速器至少可以有十幾、廿個出光口。一個同步輻射加速器要多少經費呢?1980年代台灣開始建造同步輻射時,預算是幾千萬美元;雖然後來還有追加預算,平均一個光源才數百萬美元的成本。對於現在價格動輒上億美元的曝光機台,這個成本不算是錢。但是同步輻射光源也面臨現在EUV因光的能量較高,容易被物質吸收的問題。如果波長更短,問題愈嚴重。同步輻射在解決高能量光源會被物質吸收的問題上,可用的光徑安排方式有幾個。第一個,自然是與EUV相同的反射式鏡面。但是因為波長不同,材料和鍍膜必須要再調適;第二個,還是用透鏡,但是透鏡材質變成矽或鍺,這樣也能聚焦X-ray;第三個,是波帶片(zone plate),片上有多個不同半徑同心圓上的狹縫,X-ray通過波帶片產生繞射(diffraction),因而聚焦。以上都是同步輻射既有的光學元件。但是同步輻射是儀器(instrument),而曝光機是量產設備(equipment),二者要求的精度、可靠性、成本等有巨大差距。同步輻射要做為曝光光源有2個大挑戰。同步輻射基本上是個圓形加速器,出光口散布在圓周週邊,這個格局與現在的晶圓廠的布局(layout)天差地別。如果使用同步輻射,會大幅更動晶圓廠的運作方式,甚至是一些介面規格。這也是當初半導體產業選擇使用現在EUV的理由之一。EUV雖大,但是長的方方正正的,而且每部機台獨立運作。第二個挑戰是維修時的停機時間(downtime)。設備維修,停機理所當然。但是同步輻射加速器的維修會導致每個出光口同時停機,晶圓廠就處於關閉狀況。在半導體產業眼中,這是只有在災難時才會出現的狀況。半導體產業的邏輯一般是將既存的技術和設備榨出最後一滴價值,最大幅度的降低設備折舊(depreciation)與技術攤提(amortization)。所以現在曝光機的發展主流是盡其所能的提高EUV的亮度以及解析度,並且佐以其他非曝光手段如奈米壓印(nanoimprint)與定向自組裝(DSA;Directed Self-Assembly)等方法,以期能夠支撐到矽基精細元件的物理極限。如果最終還是需要同步輻射來推進解析度,是否花巨大的研發經費來開發,只供一、兩個技術節點使用?這對於半導體產業將是個很艱難的抉擇。
2023/10/16
同步輻射能當曝光機光源嗎? (一)
這是近日網傳的議題,只是中國官方已經出面正式否認。這座高能同步輻射光子源(High Energy Photon Source;HEPS)是位於北京懷柔的中科院高能所正在興建中的第四代同步輻射裝置(Synchrotron Radiation Facility)。中科院高能所於1984年開始在北京玉泉路興建第一代裝置,以後迭有升級。這已經是近40年前的舊事了。同步輻射是高能物理實驗儀器的另類應用。原先的應用是利用電場加速電子,利用磁鐵彎曲電子行徑,並依圓形軌道運行。加速後的帶電粒子對撞生基本粒子,主要是魅夸克(charm quark)。由於帶電粒子被加速時會放出電磁波—也就是光,同步輻射裝置也可以利用這些光探測材料及生物結構,這是目前的幾個應用範疇。但是現在談及要被應用於半導體製程中曝光機(lithography equipment)的光源了。考慮用同步輻射來當曝光機光源絕對不是新鮮事,X-ray光阻早在80年代就是研究的題目。90年代業界在考慮未來半導體曝光機的光源時,EUV和同步輻射都是曾被考慮的方方向。當初美國國防部高等研究計畫署(DARPA)選擇EUV,但是也有其他公司選擇同步輻射,譬如IBM。在重新檢視同步輻射是否適合當曝光機光源時,讓我們簡單回顧一下EUV的幾個特性。EUV一般是指波長於121~10奈米的光,波長再短就是X-ray了。在EUV波長區域,並沒有天然的材料與機制可以產生雷射光,現行的13.5奈米 EUV是以二氧化碳雷射照射掉落的錫液滴(tin drop)所激發的次級光源。由於EUV光的產生程序複雜,光的頻率集中的程度遠不如使用雷射光源的DUV,亮度(luminosity)也遠遠不如。亮度不足,曝光時間就需要較長,影響曝光機產出(throughput)。由於EUV光的能量較DUV高,容易與物質—特別是傳統的透鏡(lens)材料—發生反應而被吸收,光的傳遞依賴於多個有多層鍍膜(multi-layer coating)的反射鏡(reflection mirror)組成光徑(optical path)並聚焦。對於半導體產業而言,這是一個全新的光學系統,這也說明為什麼EUV要發展20餘年,最終才得以商業化的原因。即使用反射鏡來建立光徑,垂直鏡面入射的光線仍然會被部分吸收。因此,光線最好以與鏡面垂直線傾斜6、7度的角度入射。由於這個傾斜入射角,整個光學系統的數值孔徑(NA;numerical aperture)就比較難極大化,目前的EUV其NA=0.33,與DUV的NA可以高達1.2、1.3存在巨大的差距。而數值孔徑與解析度(resolution)成正比。這是個關鍵的光學特性。由於目前EUV波長已經一口氣推進到接近X-ray波長的上限,再要縮短波長恐怕要用新的物理機制產生新的光源—那可能是另一段20年艱苦的研發旅程,所以目前產業界的努力都集中2個面向,增加NA和增加產出。增加產出是個多面向的工作,包括增加光源的亮度、改變光阻的化學組成等;增加NA可以在不必縮短波長的狀況下增加解析度,目前的計畫是從NA=0.33增加為0.55。以目前13.5奈米波長的EUV大概能做到哪個技術節點?這點是整個半導體產業共同的關心。當初在討論DUV之後的曝光機光源時,當時已有摩爾定律已日暮的感覺,雖然之後又奮力推進這麼多年。理論上,一個光源的解析度大概在光源的半波長。譬如第二代DUV ArF(argon fluoride)的波長是193奈米,理論解析度就只有96.5奈米。但是透過多重曝光(multiple exposure)、過刻(over etch)、相位移(phase shift)以及浸潤(immersion)在水中改變光的折射率(refraction index)等工程手段,193 奈米 DUV目前可以處理到7奈米的節點,問題是波長13.5奈米的EUV可以推進到哪一個技術節點?要注意的是現在邏輯製程的節點與早年以電晶體實際的通道長度(channel length)為命名已有所有不同,7、5奈米的通道長度在10奈米以上。目前節點是以1個晶體的總體表現,如速度、功率、熱耗散、面積等因素來命名。這問題可以從問題的另一端來思考。如果精細結構裝置仍然以矽晶為基礎、以電磁學為控制手段,那麼矽基元件(silicon-based devise)的最小尺寸是可以粗估的。矽的共價鍵長度為0.111奈米。要組織一個元件的功能部分(silicon-based devise)—譬如通道—至少要有幾十個原子的內部,要不然物質表面的性質可能就會影響物質內部應有的性質,因而影響元件預計的工作特性。幾十個的矽原子就是幾奈米的長度了,離現有的EUV的理論解析度尺度並不遠,這也是當初產業界一口氣將波長推進至13.5奈米的考慮。如果對原分子的控制可以更精細、物質的表面性質可以被精確掌控,因而使用較少的矽原子也可以構成有效元件,這時在半導體製程演化至物理的自然極限前,光源的波長還留有一個小窗口,這個窗口的候選人之一就是同步輻射的光。
2023/10/11