智慧應用 影音
工研院
ADI
林育中
  • DIGITIMES顧問
現為DIGITIMES顧問,1988年獲物理學博士學位,任教於中央大學,後轉往科技產業發展。曾任茂德科技董事及副總、普天茂德科技總經理、康帝科技總經理等職位。曾於 Taiwan Semicon 任諮詢委員,主持黃光論壇。2001~2002 獲選為台灣半導體產業協會監事、監事長。
評南韓半導體10年研發藍圖
繼先前南韓總統文在寅發布南韓10年半導體產業發展計畫後,2023年5月南韓科學技術情報通信部(Ministry of Science and ICT)再公布10年研發路線圖。前者著重在產業目前的實際發展方針,聚焦在系統晶片,其中最重要的2個部分自然是IC設計公司和代工產業。計畫明顯的以台灣為例,這自然是要與台灣在此一領域一較長短了。至於10年研發路線圖,是結合產業、政府與研究機構的力量,研發新興記憶體(emerging memories)、邏輯晶片與先進封裝,這幾乎囊括半導體產業的全部未來新科技了!政策沒有重點?不,這不是產業發展計畫,而是前瞻性的科技研發,涵蓋面要比較廣,目的是買保險。譬如在新興記憶體方面,研究項目全面性覆蓋FeRAM、MRAM、PCRAM、ReRAM等。如果有一種產品終將勝出,也不會因研發項目的選擇而錯失。大面積覆蓋前瞻性科技的策略自然有經費和人力的問題,但是南韓GDP在2022年居世界第十二位,對於國家最重要的產業以舉國之力奮力一搏,南韓有這個能力,也是正確抉擇。南韓的計畫中有2個亮點值得台灣注意。一個是in-memory-computing,這是在記憶體中直接執行運算。原來電腦von-Neumann架構中,處理器與記憶體分處2個位置,原始資料與計算結果就在二者中奔波。如此的架構對現代高速、大量運算已形成功耗和速度的瓶頸,因此在記憶體中直接完成計算並且當地儲存就成為解決方案之一。這1個議題已經在近年各個半導體會議中得到愈來愈多關注。另一個亮點是神經型態晶片(neuromorphic chips)。這是一種模擬人腦中神經元和突觸的結構來執行學習、思考和記憶的功能。現在的人工智慧(AI)計算是以GPU晶片為主力。台灣半導體產業正因為ChatGPT快速崛起而大發利市,未來有可能以神經型態晶片執行AI計算。英特爾(Intel)已有2代產品問世。這二者在業界都是已熟知的未來趨勢,重點在於這二者都是以新興記憶體為基礎結構的。台灣代工業者當然也會涵蓋嵌入式新興記憶體的發展,但是終究不若專精於獨立式記憶體廠商那般上心。台灣記憶體廠商過去雖然產量曾經在世界高居第二位,但是因為個別廠商的規模相對太小,無力負擔NAND開發費用,又經歷了2009年金融海嘯的摧殘,因而掉隊了。沒有足夠本土記憶體廠商的加入,在這些領域台灣的發展是較為欠缺的。甚至是先進封裝,台灣也存有相同的問題。WoW(Wafer-on-Wafer)、CoW(Chip-on-Wafer)等3D封裝技術中含有2個以上的晶片,譬如CIS或者邊緣計算,其中有的有DRAM等記憶體晶片,一般是由專業記憶體廠來設計與製造。台灣沒有本土的記憶體晶片支援,在未來的競爭上勢必遭遇挑戰。總的來說,南韓10年研發藍圖涵蓋未來半導體各個面向,以舉國之力戮力行之。計畫中充分利用南韓在記憶體領域中已經建立的絕對優勢投射於未來技術的發展。我的看法是這是個合理的計畫。我另外想問的是,台灣的政策呢?過去的5+2+2+1中的半導體(後來被迫加上去的)以及最近一任內閣的6項計畫中關於半導體的部分都說了些什麼,有誰記得?又真的完成了哪些?或者,更直接些,台灣有半導體國策嗎?
2023/7/13
晶片上的房地產開發—以及晶圓背面的利用(二)
半導體的技術路線路自2016年從原先比較專注於製程微縮的「國際半導體技術藍圖」(ITRS Roadmap),轉換成「異質整合」(Heterogeneous Integration Roadmap)後,CIS首先將畫素陣列和ADC & ISP用WoW(Wafer-on-Wafer)先進封裝方堆疊起來,而晶片鍵合的方式為銅混合金鍵合(copper-copper hybrid bonding;HB)。延伸報導晶片的房地產開發—以及晶圓背面的利用(一)如此晶片堆疊方式讓原來功能、製程各異的模組各自以最適合製程分別製造,得到的結果是製程簡化,總體效能大幅提升,譬如2個堆疊的晶片中可以有較多的I/O連線、電阻下降、功耗減少、速度變快等優點。更重要的是,晶片的矽房地產基地的面積也大幅減少了。HB堆疊技術是目前各家公司推動的研發方向之一。以三星電子(Samsung Electronics)為例,利用HB,他們已展示可以堆疊16層晶片,咸信這是為未來的高頻寬記憶體(HBM;High Bandwidth Memory)做準備。這與前述的3D NAND結構不同。3D NAND 的記憶體陣列是在單一晶圓(monolithic)上製造,而用HB製造的HBM是在多個晶圓上製造DRAM。如果用建築的工法打比方,這比較像預鑄—各層在工廠中各自製作完成,到工地只做堆疊接榫。無論如何,這也大幅縮減工期和矽房地產面積,其他HB具有的優勢也自不待言。CIS做為HI的標竿產品目前已進展到以畫素陣列、DRAM、ISP等3個晶片以HB方式封裝成1個高效能產品的進程。未來可能還再加入人工智慧(AI)晶片,直接用CIS擷取出來的影像信號做邊緣計算。當這些晶片如此多層、緊密的堆疊時,散熱是一個大問題;另一個是電源供應,特別是高效能運算(HPC)或AI延伸的應用。2022年2月Graphcore推出Bow IPU,是將一個專門用於供電的晶圓,與另一IPU(Intelligence Processing Unit)晶圓以WoW的HB技術封裝在一起,解決IPU這類高耗電產品的供電問題。業界更常見的預期是用BS-PDN(Back-Side Power Distribution Network)的方式來解決供電問題。晶片供電首先要進入電晶體,但是傳統的供電電壓是從金屬線上方一路穿透晶片結構到底層的電晶體,不僅佔用空間,而且因距離較遠因而較耗電。BS-PDN是以另一個晶片做為電源供應的來源結構,將原有的晶片打薄背面,讓墊在底下的供電晶片能較近的直接對電晶體供電。如果要供電的物件是已經用WoW組織的多晶片產品,則供電結構可以直接在需要較大供電的晶片(通常是邏輯晶片)背面建構,省略一個襯底晶片。矽房地產的開發利用從微縮、地下室、3D、堆疊,現在連背面也要用上了,寸土寸金。 
2023/6/20
晶片的房地產開發—以及晶圓背面的利用(一)
直至今日,晶片的設計與製造都在講究矽晶圓的土地利用效率,稱之為矽房地產(silicon real estate)開發。傳統的晶片製造是將結構從做為基板(substrate)的矽晶圓上一步一步堆疊上去的,乃至於後段製程(Back End Of Line;BEOL)的金屬連線。一開始做為IC的基礎元件電晶體只做一層,像以前的平房,雖然房屋可以櫛比林立,但是整體的建築景觀是平整的2D街景。然後是地下室了。在DRAM發展製程的過程中,電容建構在過往方式之一是向下挖深溝,稱為深溝電容(deep trench capacitor)。電容存在於電晶體的水平面之下,算是地下室吧!這是積極爭取建築容積率的第一步。以上的平房、地下室的想法在人類史前文化就有,要不,到良渚文化遺址去瞧瞧。從電晶體乃至於金屬連線都建構於晶圓的一面,這一面叫前面(front side)。電晶體積體整合程度變高之後,整個晶片就像鄉村變成都市,公共設施如供電網、下水道、交通等就得納入都市計畫。晶片上最重要的公共設施至少包括有電源、信號和熱耗散。電源和信號由最上面的金屬連線層處理,而熱耗散猶如廢水,處理不好晶片便無法持續運作。很久以前處理熱耗散問題,腦筋動到晶圓背面(back side)。功率元件雖然不算是IC,但是由於功率元件高壓、大電流所產生的焦耳熱(joule heat)會讓晶片發燙,勢必要有快速排除廢熱的管道,於是有了BGBM(Back Grounding Back Metalization)的製程—將晶圓底部磨薄,然後鍍上金屬,讓電晶體的散熱快些。這個也可以用城市的基建打個比方:廢熱的下水道。再來是蓋樓了。3D NAND的製程驚才絕艷,只使用4、5個光罩便能做成32層的結構,大幅增加可能儲存的資訊數量。蓋高樓層的自由度一旦打開,建築物的容積率隨樓層數的增加而倍數大幅成長,減輕2D時代晶片地基必須持續微縮的壓力。再下來是處理信號的問題。晶片中傳統的信號大致以電子傳送,管道是製程中的各層金屬連線,至今仍是如此,但是這只是內部的信號傳遞形式。現在的晶片多才多藝,也可以從外界汲取資訊—譬如光,然後再轉成電信號,CIS (CMOS Image Sensor)就是最好的例子,其後也引領著半導體製程創造性的變革。傳統CIS架構與CMOS的建構過程相彷,先做光二極體(photo diode),這算是某種類型的CMOS,其功能是把接收到的光信號轉成電信號,以便後續處理。其上也有一般晶片的幾層金屬連線,更上面有光線進入後的微鏡頭(micro  lens)和濾色片(color filters)。微鏡頭這端叫前端(front side),是晶片的正面(face)。這整個製程就依循CMOS製程的傳統的智慧。但是光進來後先要穿越正面幾層滿布金屬線的縫隙,以及晶片的中層結構,才能抵達對光敏感的光二極體。光的吸收效率很差。從工程設計的角度來看,光經微鏡頭、濾光片後應該先抵達光二極體,直接讓它吸收,轉化成電信號,然後經金屬連線把信號送出去,這才是合理的設計。之所以會變成如此彆扭的結構,乃因半導體CMOS製程在演化過程中,就是將CMOS先置於底部,再將線路逐漸長上去的。無獨有偶,大部分的生物的眼睛也有如此因演化過程產生的工程謬誤。人類眼睛的盲點就是在光敏細胞的演化過程中,視神經先長到視網模前,這個演化的遺跡殘留到以後更複雜的眼球結構之中,視神經阻擋視網膜對光線的部分吸收,以致於接近視界的中心點兩側都有對影像無感的盲點。演化無法重來,但是工程可以重新設計。CIS如此彆扭結構,解決的方法就是從晶片背面著手:光的進入孔道微鏡頭、濾光片從比較接近光二極體(視網膜)的方向進來—就是晶圓的背面,在光二極體處轉化成電信號後再由上層的金屬線路(視神經)送出去處理。這樣的結構不會讓光被金屬連線阻擋干擾,結構合理多了。如此的CIS結構叫背面照明(BI;Back-side Illumination),而老一代的CIS則叫前面照明(FI:Front-side Illumination)。光是一種信號,比之於建築中的線路屬於弱電系統,現在晶片中的部分弱電線路也地下化了,像是光纖或電纜。CIS的結構本來就由多種效能的晶片功能模組拼湊起來,至少包括像素陣列(pixel arrays)、類比線路(Analog to Digital Converters;ADC)、邏輯線路(Image Signal Processors;ISP)等組成,而這些模組在半導體製程看來就是異質(heterogeneous)。因此在異質整合(heterogeneous integration)的年代開始後,CIS的結構創新引領許多矽房地產變革的生發。
2023/6/19
中國停止採購美光產品可能的市場反應
2023年5月21日中國國家互聯網資訊辦公室發布消息稱,美光(Micron)在中國銷售的產品未通過網路安全審查。按照中國《網路安全法》等法律法規,中國境内關鍵資訊基礎設施的營運者,應停止採購美光產品。針對這件事,南華早報在2023年5月29日已做評論。在中美科技對峙的氛圍下,美國的科技公司遭逢此種裁定是意料中事,美光成為箭靶是因為「美光是美國對中國不僅提起多次智慧財產權訴訟,還經常遊說美國反對中國的大型晶片產業公司」。南華早報這一部分的陳述離事實並不太遠,美光是全世界記憶體廠商中最常使用非商業競爭手段打擊同業的。專利侵權、反傾銷(anti-dumping)、反補貼(counter-veiling)等手段使用得淋漓盡至,充分利用美國在國際政治的力量,以及過去是世界重要半導體市場的主場優勢。世界上沒有任何一家記憶體公司能倖免於此困擾。即使其本身亦有涉案在DRAM反壟斷案中,美光也以其較熟悉的反壟斷局寬大處理計畫(Leniency Program)最後安然脫身。美光如此常態行為,的確較容易成為反制的對象,但是中國政府是否真正以此因素為主要考量而下此決定,就不得而知。中國官方宣布的根據或理由令人費解,主要是因為DRAM的產品特性,它是「大宗商品(commodity)」。DRAM產品有世界統一的規格,像DDR4、DDR5、LP DDR4等介面規格,同一規格的產品,其電壓、傳輸速度、訊號次序等規格是完全一樣,都是由JEDEC這個組織統一制定的。理論上,一家公司某一特定介面的產品完全可以被另一家公司相同介面的產品直接插拔替代。如果美光的產品要刻意增加其他公司沒有的「功能」,這些增加的線路勢必在產品的成本上重懲美光。所以說這個根據或理由,業內人士很難理解。如此措施會引發哪些市場反應呢?當前的記憶體市場由於PC和手機市場的低迷,處於極端的不景氣狀態之中,這是整個產業現在共同感受。這個裁定對於美光的短期衝擊雪上加霜是顯而易見的。但有幾個理由會讓這個裁定的影響可能沒有想像中的嚴峻。第一,是美光的前置準備。這幾年中美科技的對峙已經持續多時,特別是美光在與晉華進入訴訟程序之後,美光不可能沒有應變計畫,否則就是經營得太漫不經心了。第二,是美光傳統的市場策略。美光在很長一段時間內的市場策略是極大化利潤,而不是保持客戶的黏著度,理由是前述的DRAM是大宗商品這一原因。由於記憶體是大宗商品,很難由產品的差異化來提升顧客的忠誠度,利潤極大化是合理的市場策略。基於此一市場策略,美光銷售體制使產品銷售對象轉換的彈性即相對較高。第三,還是大宗商品的特性所導致的。DRAM由於可相互替代,對於系統公司零件轉換成本較低,只要有價格差距就有轉換誘因。所以此措施淨效應就是記憶體各寡佔公司與顧客的重新議價與配對洗牌。顧客與供應商重新接頭、議價需要交易成本,也需要時間,所以將延緩整個產業的復甦時間。對於個別廠而言,當然會有所損失,但是還不致於窒息。大宗商品嘛,如水銀瀉地,無孔不入的。要不,俄國石油被那麼多國家抵制,不也賣得好好的?
2023/6/13
銅混合鍵合的發展與應用(三):未來應用
混合鍵合技術的新應用中,最引人注目的當屬高效能計算(High Performance Computing;HPC)。HPC在晶圓代工的產能中佔據最顯著的份量。HPC架構主體主要含處理器和記憶體。處理器通常以最先進的邏輯製程製造,但是記憶體(DRAM)的製程進展較邏輯製程緩慢,這個就產生落差。兩者之間溝通落差限制整體表現,而且製程也截然不同,屬於「異質」。延伸報導先進封裝技術競逐略有起伏 HPC導入熱度高於手機AP解決兩者之間效能落差的方法之一是利用平行處理。現在的處理器多具有雙位數數量的核(cores),每個個核需要支援其運作的個別記憶體。數量如此多的核-記憶體之間的連線需要多個I/O接點以及高頻寛,這就是十年前開始出現高頻寛記憶體(High Bandwidth Memory;HBM)需求的驅動原因。HBM是用2.5D封裝技術將CPU與至多8個DRAM堆疊封裝,其處理器與記憶體之間的連接是透過晶片的微鍵(microbond)連接底下中介層的金屬線至另外的晶片,如此一來I/O與連線的密度都可以大幅增加。對於常用於AI常用的GPU晶片,其核的功能比較專一,所以每個核的面積較小,一個晶片裡核的數目動輒上千。每個核所需要對應記憶體容量不需要很大,但是因為核與記憶體的數目有數量級的提升,連線及I/O的數目要求更高,此時銅混合鍵合就能提供其所需要的效能。這個應用也是目前多家代工廠、DRAM廠的技術及業務能力擴展方向。2022年3月Graphcore發布於台積電造的Bow IPU號稱是世界第一個3D WoW處理器,利用到的是混合鍵合的另一種優勢。2片晶圓一邊是AI處理器及其協作的記憶體,主要包括1,472個IPU(Intelligent Processor Unit,Graphcore為其處理器的命名)以及與各IPU協作的獨立900MB的分散式SRAM;另一個晶片負責提供電源。如此結構設計,Graphcore宣稱可以提升效能40%以及節省功耗16%。超微(AMD)最近的Ryzen系列也因為不同的原因採取混合鍵合技術,雖然使用的是CoW的技術,而非WoW。超微將CPU中面積較大的L3 cache單獨拿出並擴增容量、單獨生產,在不增加CPU系統面積的情況下,增加可用的SRAM容量,減少一般資訊處理必須傳送到DRAM的需求,因而提升速度、減少功耗。延伸報導銅混合鍵合的發展與應用(二):商業化應用其他混合鍵合的應用現在可預見的還包括無線通訊、AIoT、PMIC等。在混合鍵合的製造成本下降後,應用領域還有可能延拓的更廣泛。從晶片異質整合、效能提升、減少功耗、縮小面積等的幾個優點考量,只要混合鍵合的成本下降至各優點的價值臨界點後,技術的採用將會一一浮現。學習已經商業化的、正在醞釀中的應用並且分析其得失,是尋找新應用的 必要學習過程。 
2023/5/5
銅混合鍵合的發展與應用(二):商業化應用
混合鍵合的最大特色是晶片對外連接金屬墊(metal pad)的尺度是「半導體製程級」的。相較於之前用於中介板的微凸塊(microbump)間距40um,混合鍵合的鍵合間距可以小達1~2um,限制尺寸的原因主要來自於對齊的精確程度,還有進一步改善的空間。這樣的鍵合間距代表每平方公分晶片面積可以承擔百萬個連結,這比任何既存的封裝方式都有幾個數量級的提升。連線鍵合數目愈多意味著2個晶片之間容許更高頻寬的溝通,有利於平行運算,也容許較高電流。功能模組之間的連線也較尋常方式為短,所以速度快、噪音低、功耗也較小。另外混合鍵合本來就是異質整合、3D堆疊先進封裝中的一種方法,所以二者的優點也自然都有。商業應用混合鍵合的半導體產品,首先是 Sony的CIS。CIS有幾個組成部分:畫素陣列(pixel array)、類比數位轉換器(Analog-to-Digital Converter;ADC)、影像訊號處理器(Image Signal Processor;ISP)。畫素陣列基本上是1層多晶矽(polysilicon)與5層金屬的製程;ADC與ISP則是1層多晶矽與10層金屬的製程,二者的製程差距甚遠,符合「異質」特徵,應該分別製造。二者的3D晶片堆疊還能縮小鏡頭尺寸,所以Sony早在2016年就將分別製造的畫素陣列晶圓與ADC+ISP晶圓混合鍵合,替代原來在同一晶片的設計製造。由於混合鍵合大幅增加金屬連線密度,使得ADC可以平行處理畫素,大幅提升畫面處理的能力,譬如全域快門(global shutter)、影片的每秒幀數(frame per second)等。目前的設計趨勢是向每個畫素都有獨立的ADC方向邁進。進一步的工作是將DRAM也加入CIS的3D堆疊,做為畫素處理的緩衝記憶體(buffer memory)。Sony和三星電子(Samsung Electronics)都有此設計,只是DRAM堆疊位置不一。影像在車輛的應用,譬如用來偵測前方物件距離的時差測距(Time of Flight;ToF)的單光子雪崩探測器(Single Photon Avalanche Detector;SPAD);或在工業的應用,譬如機器視覺(machine vision),都可能需要再加入能執行邊緣計算(edge computing)晶片。CIS啟動混合鍵合的商業應用,歷史較長,較長遠的應用規劃也漸入視野。另外一個也進入商業量產的應用是3D NAND。平面NAND的記憶體細胞陣列(memory cell array)與其他邏輯線路-包括微控制器(microcontroller)、位址寄存器(address register)等,是放在同一晶片上的。3D NAND 的記憶體細胞陣列持續往3D方向堆疊,但是邏輯線路上方卻空無一物,嚴重浪費珍貴的晶片房地產(real estate)。所以長江儲存首先以XtackingTM技術將邏輯線路部分以混合金鍵合方式置於記憶體細胞陣列之下,大幅提高晶片房地產使用效率。其他公司後來也採取類似方法。不過在此例中,金屬墊的密度不需要特別的高。
2023/5/4
銅混合鍵合的發展與應用(一):技術輪廓
先進封裝大概可以分為兩大類趨勢:一個是小晶片(chiplet)。小晶片將傳統上較大型的積體線路分拆成許多較小的功能模組,先個別予以優化。再使用這些已優化的小晶片組織新的次系統。這樣可以重複使用IP,大幅加速產品設計的速度以及降低設計成本。至於各個小晶片之間的連接,倚靠底下仲介層(interposer)內的金屬連線。此連線的密度當然遠高於傳統的線路板或封裝I/O所能支援的密度,大幅增加線路運作頻寛(bandwidth)、增大平行運算的操作空間。另一個方向自然是異質整合(heterogeneous integration)。將不同製程或不同材料的晶片堆疊在一起,以整合方式提升、擴充組裝元件的功能。除了已經商業化的方法外,基本上有晶片-晶圓(Chip-on-Wafer;CoW)及晶圓-晶圓(Wafer-on-Wafer;WoW)等2種鍵合型態。二者在鍵合後都需要再切割晶粒,但是也有例外。CoW程序較複雜,所以WoW可能早些普及。晶圓間鍵合的技術又有很多種,現在已經進入商業化的技術之一是「銅-銅混合鍵合」(Cu-Cu hybrid bonding),這也是本文討論的主題。銅-銅混合鍵合技術是將2片欲鍵合在一起的晶圓,各自完成製程最後一步的金屬連線層,此層上只有2種材質:銅及介電質。介電質可以是氧化矽或高分子材料,二者各有優缺點,使用何種物質依製程需要而定。由於晶圓鍵合時牽涉到銅及介電質兩種材料介面,所以稱之為混合鍵合。2片晶圓面對面鍵合時是銅金屬對銅金屬、介電值對介電質,兩邊鍵合介面的形狀、位置完全相同,晶粒大小形狀也必須一樣。所以使用混合鍵合先進封裝技術的次系統產品各成分元件必須從產品設計、線路設計時就開始共同協作。混合鍵合製程約略如下:兩邊晶圓在完成最上層之金屬製程後,經化學機械研磨(Chemical-Mechanical Polishing;CMP)及清洗後,2片晶圓面對面對齊(alignment)。介電質先經離子活化(ion activation),兩邊介電質接觸後產生共價鍵。兩邊銅的表面原先較介電質稍低,在退火(annealing)時因膨脹係數較介電質為大而增高接合,兩邊銅離子因相互擴散(diffusion)進入對方而形成密切的永久性接合。晶圓平坦化(planarization)不足、殘留粒子、對齊誤差及金屬介面孔隙(void)等均有可能影響元件特性或失效。目前混合鍵合機台已有多家設備廠商投入量產。如EVG、SUSS MicroTech、TEL、AML等,典型機台如EVG的Gimini系列。由於現代設備廠商在銷售機台時多附有機台相關之基礎製程,混合鍵合製程的開發通常不算是嚴峻的挑戰。目前銅混合鍵合的封裝製程良率已經可以到達一般後段封裝的典型良率99%以上。一部分原因是於此技術的累積發展與已經商業化的機台設備同步,但是更重要的原因是兩邊晶片的設計團隊期前的設計溝通,在重複單元區留下適度的冗餘(redundancy),當鍵合時發生缺陷時,有足夠的空間來騰挪。
2023/5/3
先進微影技術發展(二):奈米壓印與定向自組裝
除了純粹光學的方法外,還有其他方法也可以用來定義半導體的精細圖案。奈米壓印微影(Nano Imprint Lithography;NIL)也發軔於90年代中期,至今還未進入量產,但是其解析度經驗證已可達10 nm以下。NIL的操作是先以電子束微影(electron beam lithography)在「光罩」(其實更像是模版)上寫下欲轉錄圖形的陰刻,然後壓印在已塗佈低粘度(low viscosity)的「光阻」(這物質其實與光敏無關,只是用來抵擋蝕刻)的矽晶上,讓光阻流入圖形陰刻中的溝槽後,以紫外光照射用以固化(curing),形成光阻覆蓋圖形。後續的工作就如同一般的製程一樣,開始蝕刻光阻未覆蓋的區域。NIL有能力用來製造出3D圖形。如此操作可以省卻複雜的光源及龐大的透鏡/反射鏡所組成的光學系統,而且在關鍵層(critical layer)可以只用1次操作完成,所以預期的產量較高。一個微影系統的能力通常以圖形化(patterning)、疊加(overlay)以及量產能力(throughput)來評估。其中圖形化是指生成所需圖形的能力,主要是解析度;而疊加是指上下2層圖形的對齊精準度。目前的進展是對於3D NAND產品NIL的圖案化及疊加能力已達滿意程度,等待量產能力達標後,即可投入產線。對於DRAM,圖形化能力已達14 nm (1a)節點,疊加能力猶待展示;記憶體是NIL比較可能的先期應用。NIL技術主要由日本所開發,佳能(Canon)在90年代未能接受美國授權EUV技術後即轉向NIL方向發展。晶圓廠方面,東芝(Toshiba)於2000年初即投入研發。目前威騰電子(Western Digital)想收購東芝的原因除了擴大生產、研發的規模經濟外,東芝擁有NIL技術、可用於投入MRAM的生產也是吸引力之一。另外,中國也開始投入NIL的研究,這是中國半導體技術自主化中的一環。定向自組裝(DSA;Directed Self-Assembly)是與前述2種技術完全不同概念的運作,DSA也發軔於90年代中期,其時複雜系統(complex system)領域中的熱門研究題目之一是元胞自動機(cellular automata),它是指一個單元可以用簡單規則建立一套複雜系統的模型,DSA就是藉助此概念所發展出的方法。如此跨領域創新,在半導體學院可教不來。 光學微影(photolithography)與NIL都是從上而下(top-down)來定義圖形,亦即圖形先從巨觀尺度定義完成後再去處理圖形中的內容物質;而DSA則是由原分子階層由下而上(bottom-up)組成所需圖形。  DSA使用嵌段共聚物(Block CoPolymers;BCP)當成主要材料,常用的材料為聚苯乙烯嵌段聚(甲基丙烯酸甲酯)[poly(styrene-block-methyl methacrylate);PS-b-PMMA]。DSA是2條互斥(repulsive)的高分子鏈以共價鍵銜接在一起,對於其他的化學物質有不同的親和性(affinity),這是用來操縱形成不同圖案的主要機制。DSA亦可形成3D圖形。DSA的實際運作首先要形成引導圖形(guiding pattern)—引導BCP自組裝成需要圖形的外在框架,有2種主要方法:圖形外延(graphoepitaxy)以及化學外延(Chemoepitaxy)。前者是先以微影方式定義引導圖形(guiding pattern)的3D拓樸形狀,譬條狀平行溝槽,然後在溝槽壁上或溝槽底部塗佈上特定化學品,沉積BCP於其上。2條高分子鏈中的1條對於以塗佈的化學品具有高親和性,黏附於其上,剩下的就靠高分子之間的自組裝,形成需要的圖形;化學外延則是在基板上直接塗佈較高密度的化學品,剩下的也全靠高分子之間的自組裝機制。DSA既然也需要微影技術來定義圖形,為什麼還需要DSA?原因是DSA自組裝形成圖形的密度較微影技術為高,目前DSA的解析度已達12.5 nm,而且還在繼續向下發展。DSA搭配EUV、做為增強EUV解析度的手段是目前考慮的使用方向之一。相對於NIL,DSA還需要更長的時間才會成熟量產。DSA在量產的2個預期的主要應用為線/間距(line-space)和接觸(contact)圖形,前者是記憶體金屬層的最關鍵技術,因此記憶體公司對DSA的投入較深。目前的微影技術其實離最終極的原分子尺度並不太遠,但這並不意味著對於原分子尺度的運作操控將止步於此。像NIL與DSA都跳脫傳統光學微影系統的思維,利用新的物理、化學機制與材料,更多基礎科學的投入才能容許半導體產業走更長遠的路。延伸報導先進微影技術發展(一):既有設備路徑的延伸
2023/3/24
先進微影技術發展(一):既有設備路徑的延伸
微影技術是半導體產業用以定義精細圖案的主流手段,目前最先進的技術是極紫外光(EUV),最近的量產設備為ASML 的TWINSCAN NXE:3600D。使用的光源是由二氧化碳雷射打到錫(tin;Sn)電漿(plasma)後,產生13.5 nm的極紫外光。數值孔徑(Numerical Aperture;NA)為0.33,這個數值反比於解析度(resolution,或為Critical Dimension;CD);微影技術中NA愈大愈好。其解析度為13 nm,生產能力(throughput)每小時可以處理160片晶圓。這機型支援7奈米、5奈米製程的量產。有一個常有的誤解,是5奈米製程其實並不代表其解析度恰好為5 nm,這數字是技術節點(technology node)的名稱。過去此數字的確是代表此一製程的臨界尺度,譬如邏輯製程的閘極長度(gate length)或者DRAM的半金屬間距(half metal pitch)。但是現在電晶體3D化、製程變得複雜,節點的命名代表的是依照摩爾定律演化曲線投射的電晶體數目、電晶體開關速度、功耗的等效名稱。微影技術的後續發展呢?據ASML最近的財報表示,改進的方向是往增大NA的方向,從目前的0.33增大為0.55,後者稱為高數值孔徑(high NA),可以進一步提高解析度。另一個方向是增加生產能力至220片,降低晶圓廠生產成本。理論上一個光源的解析度為其波長的2分之1,譬如EUV現在的光源波長為13.5 nm,理論上其單次曝光的殼達到的最佳解析度約略小於7 nm(重複曝光可以進一步改善解析度)。用較短波長的光源藉以達到更高解析度是以前理所當然的路徑,微影機的光源一路從g-line(436 nm)、h-line(405 nm)、i-line(365 nm)等可見光進展到KrF(248 nm)、ArF(193 nm)的深紫外光(DUV),主要的進展都是靠縮短光源波長來改進解析度的。但是從DUV遷移到EUV牽涉到結構上重大的變革,有2個重要因素。在光源方面,沒有自然的原分子可以被激發後釋放出EUV,所以必須以二氧化碳雷射去多次激發錫電漿。另外,EUV被幾乎所有的東西所吸收,包括空氣以及石英(以前用來做光罩的材料)、透鏡等,所以所有的EUV光學系統都得改成在真空中以鏡子反射式的呈像,這是一個顛覆式的重大變革。EUV的研究肇始於1990年代初,當時DUV的半導體量產機台還未導入量產呢!之所以要花20幾年的時間來研發,因為是對一個更精密系統的重新創造。而且目前的EUV是一步到位的研發。EUV的定義是波長落於10~210 nm之間的光,目前EUV的波長13.5 nm已在EUV定義的邊緣,波長再短就是x-ray了。到那時候又是另一套全新系統的開發。X-ray 也會被空氣吸收,而可能的光源之一是1990年代就提議過的同步輻射,那可又是一場全新的遊戲。所以沿著光學微影方向發展的下一步呢?比較大的可能是超高數值孔徑(hyper NA),NA=0.75,這可以讓解析度比目前至少再提高個2倍。配合其他常用的製程手段,譬如多重曝光、過度蝕刻等,看能否接近矽原子的天然解析度極限。這個路徑雖然是演化式的,但是研發經費仍然是天文數字。後面有沒有足夠的高階應用來分攤這前頭的龐大研發經費,這是個經濟問題。 
2023/3/23
電動車電池(二):研發競爭與地緣政治
美國能源部公布自2008年至2022年以來,電動車電池的價格下降89%。降價的2個主要原因是因為量產以及電池技術的進步。量產的驅動力來自於電動汽車量的增加,而規模經濟的效果自不待言。技術的進步值得一提,其中研究主軸自然是材料,但範圍包含廣泛的電化學、電極材料、電解液、催化劑、機構、監控等。在這統計的14年中,不僅奈米科技一日千里,容許工程人員對微小物質的操控,而且這段時間也是材料科學成長出新的研發臂膀期間,理論的新手段如第一原理計算(first principles calculation)、機器學習乃至量子運算等,紛紛被應用到電池材料的研發。這些手段在進入實驗之前,可先預測目標材料種類及特徵參數,實驗結果往往也與預測相近,這些開發手段的改變大幅縮短開發時程、降低開發的經費。掌握這些新研發方法,乃電池研發競爭的主軸之一。車用電池發展與注重企業ESG的年代也重疊,因此企業經營必須將整個生態環境計入經營考量,企業再無外部成本可言。鋰離子電池中使用較多的鋰、鎳、鈷等金屬,如果按照目前的使用效率及市場成長估算,目前已知的礦藏量可能在10年後告罄,所以分級使用以及資源回收勢在必行。譬如電動車電池在充電率降至90%之後,經廠商修整再移至再生能源當儲能設備使用,最終進入資源回收的循環。電動車電池一直存在著地緣政治的議題,主要原因是電池是電動汽車的核心,而中國掌握全世界60%電池組製造,高度集中的比例在今日地緣政治的環境下,令人擔憂電動車電池也會被用來做為戰略槓桿工具。筆者有幾個理由,認為這是過慮了。第一個原因是原材料。世界的鋰礦藏大部份在南美洲三國:玻利維亞、阿根廷、以及智利,其次是澳洲。中國有70%的原材料依賴進口,但是中國對於礦藏本身可能擁有部分所有權。如果鋰離子電池一旦升級為戰略物資,各國普遍有政府可以徵用的法令,啟動槓桿戰略的可能,中國自己先反受其害。第二個理由是中國雖然電池組產量佔全世界60%,但是電動車產量亦佔50%以上,而且上升勢頭甚猛,譬如2022的出口輛數就較2021的多1倍。在自己使用供需略近平衡的狀況下—而且電池成本目前佔電動車成本近40%,本身價值不菲—將電動車電池當成戰略槓桿武器使用是不切實際的。一旦供應鏈重組,中國自己受傷害的機率很大。第三是新電池的發展仍然在持續發展之中,鋰離子電池未來是否仍然是主流產品仍在未定之天,這也是各界關注電池產業發展的焦點。近期趨勢是重回鈉離子電池。雖然鈉離子電池因為鈉的原子序較大,其比能量目前處於100~150 Wh/kg,仍然略遜於鋰離子電池的120~180 Wh/kg,但是其原材料價格便宜甚多:碳酸鈉(sodium carbonate)每噸就300美元上下,而碳酸鋰(lithium carbonate)2月價格略低於50萬美元。二者價格有上百倍的差距。鈉離子電池還有其它的功能性優點:可以在較低的溫度工作,也沒有過放電(overdischarge)的問題(鋰電池電力完全用完時負極可能受損);鈉離子電池的導電率也較高,所以比功率、充電速度也較高。另外,鈉離子電池在短路時升溫較鋰離子電池慢,相對較安全。鋰離子電池的負極(anode)通常是石墨(graphite),正極(cathode)常用鈷酸鋰(lithium cobalt oxide);鈉離子電池要複雜得多。負極因鈉離子較大,沒辦法嵌入石墨中,必須用硬碳(hard carbon),而硬碳價格很高,一噸在十數萬美金之譜,這降低了鈉原材料的成本優勢;而正極材料亦較鈷酸鋰要複雜得多。即便有這些工程的挑戰,預計今年(2023年)鈉離子電池會進入量產,而鋰離子電池下半年可能會產能過剩。電動車產業從鋰離子電池邁向鈉/鋰離子混合電池的過程正在發生之中。在更長遠的未來,氫燃料電池(hydrogen fuel battery)—如果可以解決工程問題的話—是更理想的電池產品:原料氫和氧都沒有儲藏量的問題,而使用後的產物是水,也無環境污染和回收的問題。因為有這些可能的技術急轉彎的新產品,以鋰離子電池當成戰略槓桿物資的機率並不高。毋怪乎有些大的傳統汽車廠能氣定神間的在一旁靜觀,沒有加入鋰離子電池的競爭。目前只是初發韌期,所以電動車電池目前還沒有統一規格,一切有待觀察。延伸報導電動車電池(一):材料演變與應用型態 
2023/2/10